PicoBlaze — общее название серии свободно распространяемых Soft-процессорных ядер, созданных фирмой Xilinx для своих ПЛИС (FPGA и CPLD). В основе PicoBlaze лежит архитектура 8-битного RISC-процессора; скорость работы на ПЛИС семейства Virtex 4 может достигать 100 MIPS. Для обращений к периферии процессор имеет 8-битную шину, используя которую можно раздельно читать и записывать до 256 портов.

PicoBlaze распространяется в виде исходного кода на языках VHDL и Verilog[1] для свободного использования на продуктах фирмы Xilinx. Особенности архитектуры гарантируют наилучшие характеристики для ПЛИС семейства Spartan и Virtex. Фирмой Xilinx предлагается к использованию специальное программное обеспечения для трансляции исходного кода на языке Ассемблера. Синтаксис исходного языка нарочито упрощен в угоду простоты и скорости. Также имеется специальное инструментальное программное обеспечение, созданное сторонними организациями (Mediatronix и др.). Альтернативно существует PacoBlaze — модифицированное ядро PicoBlaze, распространяемое под лицензией BSD.

Изначально проект «PicoBlaze» носил имя KCPSM, что означает «Constant(K) Coded Programmable State Machine» — программируемый конечный автомат с постоянной программой. Создатель проекта — Кен Чапман (Ken Chapman) — инженер Xilinx, разработавший и реализовавший PicoBlaze[2] (альтернативная расшифровка аббревиатуры KCPSM — «Ken Chapman’s PSM»).

При вставке PicoBlaze в проект на VHDL должно быть использовано соответствующее имя компонента KCPSM[3]. Например, для PicoBlaze3:

component kcpsm3 is
  port (
    address       : out std_logic_vector(9 downto 0);
    instruction   : in std_logic_vector(17 downto 0);
    port_id       : out std_logic_vector(7 downto 0);
    write_strobe  : out std_logic;
    out_port      : out std_logic_vector(7 downto 0);
    read_strobe   : out std_logic;
    in_port       : in std_logic_vector(7 downto 0);
    interrupt     : in std_logic;
    interrupt_ack : out std_logic;
    reset         : in std_logic;
    clk           : in std_logic
    );
end component;

Основные характеристики

Ядро KCPSM3 для PicoBlaze поддерживает:

Ядро KCPSM6 для PicoBlaze поддерживает:

Кроме того, ядро PicoBlaze:

Программы для PicoBlaze необходимо писать на ассемблере. Программы, написанные для KCPSM3, требуют перетрансляции, когда необходимо их исполнение на KCPSM6, но и в этом случае по ряду общих команд нет полной совместимости. Для отладки имеется программный симулятор.

Специальные возможности

С помощью специальных программных средств программа для PicoBlaze может быть заменена непосредственно в файле прошивки ПЛИС (с расширением bit). С помощью специальных программных средств можно загружать программу для PicoBlaze непосредственно в ПЛИС через интерфейс JTAG.

Примечания

  1. PicoBlaze 8-bit Microcontroller. www.xilinx.com. Дата обращения: 13 ноября 2018. Архивировано 14 ноября 2018 года.
  2. PicoBlaze 8-bit Microcontroller. Xilinx, Inc.. Дата обращения: 25 июня 2007. Архивировано 22 ноября 2007 года.
  3. PicoBlaze 8-bit Embedded Microcontroller User Guide. Xilinx, Inc.. Дата обращения: 25 июня 2007. Архивировано 6 октября 2008 года.

Ссылки